Get a downloadable copy of equipment available.

Category

OEM

Model

Tool/Description

Assembly

Accent

Q7

 

Assembly

ADE

6034

Wafer Measurement

Assembly

ADE

9350

Wafer Test System, 200mm Wafers

Assembly

ADE

3046A

Wafer Thickness, Taper & Bow Tester

Assembly

ADE

7900 Ultra Gage

Multifunction Dimensional Measurements for 500nm design rule

Assembly

ADE

8100-14

Wafer Tester with Wafer Shape, High Resistivity and Type Testing

Assembly

ADE

ADE 9700

 

Assembly

ADE

EpiScan 1000

High-speed film thickness measurement and mapping tool

Assembly

ADE

Ultra Gage 9500

 

Assembly

ADE 9500 Ultragage 

Ultragage 9500

 

Assembly

ADEC

ADE 9500

 

Assembly

ADEC

BT1152-240

ADEC 1152 Board Tester

Assembly

ADE-DMS

780 MRT

Digital Measurement System

Assembly

Advantest

M6761A

Retho Test ATE

Assembly

Advantest

M6761AD

Retho Test ATE

Assembly

Advantest

T3324

Memory Tester

Assembly

Advantest

T5363P

Memory Tester

Assembly

Advantest

T5363P

Memory Tester

Assembly

Advantest

T5365P

Memory Test in Device

Assembly

Advantest

T5365P

Memory Test in Device

Assembly

Advantest

T5365P

Memory Test in Device

Assembly

Advantest

T5382A

Memory Tester (Dual head with MRAA)

Assembly

Advantest

T5382A

Memory Tester (Single head#1)

Assembly

Advantest

T666AF

ESD Tester

Assembly

Advantest

T6673

Digital Test Systems in Device

Assembly

Advantest

TR1000T

 

Assembly

Advantest

T5382A

Memory Tester (Single head#2)

Assembly

Advantest

T5382A

Memory Tester (Dual head)

Assembly

Advantest

T5581P

Memory Tester (Single head)

Assembly

Aehr

MTX 3000 H/A

 

Assembly

AERONCA

WIS-100

Wafer Surface Inspection System

Assembly

AERONCA

WIS-900

Wafer Surface Inspection System, 2ea Available

Assembly

AG ASSOCIATES

2106

Rapid Thermal Processing System, for 100mm-150mm Wafers

Assembly

AG ASSOCIATES

2146

Rapid Thermal Processing System, Parts Tool Only

Assembly

AG Associates

610 Heatpulse

RTA Rapid Thermal Annealing

Assembly

AG Associates

4100 Heatpulse

RTA Rapid Thermal Annealing

Assembly

AG Associates

Heatpulse 410

RTA Rapid Thermal Annealing

Assembly

AGIDENT

16442A

Parameter Analyzer Tool

Assembly

Agilent

1100

 

Assembly

Agilent Technologies

V4400

 

Assembly

Agilent Technologies

V4400

 

Assembly

Air Liquide

See Listing

Gas VMBs

Assembly

Aixacct

TF Analyzer 2000

 

Assembly

Aixtron

AIX200

LP-MOCVD Chemical Vapor Deposition

Assembly

AKRION

V2-HL.2000

Hybrid-Linear Automatic Acid Wet Station with Robotic Transfer (New), for Dual 150mm Cassettes

Assembly

AKRION

V2-SA.3200

Semi-Automatic Acid Wet Station w/Robotic Transfer, 7 Tanks for Dual 150mm Cassettes

Assembly

AKRION

V2-SA.3200

Semi-Automatic S/S Solvent Wet Station (New)

Assembly

Alcatel

2441C

RF Sputter UP system

Assembly

ALESSI

REL-4500

Analytical Manual Wafer Prober - Parts Tool Only

Assembly

Alpha Innotech

FA-1000

Photo Emission Microscopy System

Assembly

Alphasem

SL9002-MM

Flip Chip bonder

Assembly

ALPHASEM

Swissline 9006

Automatic Epoxy Die Bonder, 2ea Available

Assembly

AMAT

8" Susceptor

Calibration Leveling tool

Assembly

AMAT

AME8330

 

Assembly

AMAT

AME8330

 

Assembly

AMAT

Centura 5200 CVD

1999, SACVD

Assembly

AMAT

Centura 5200 RTP

200mm

Assembly

AMAT

Centura 5200 RTP

200mm

Assembly

AMAT

Centura 5200 RTP

2000, 200mm

Assembly

AMAT

Centura 5300

200mm

Assembly

AMAT

Centura DPS+

1999, 200mm

Assembly

AMAT

Centura eMAX

Oxide Etch

Assembly

AMAT

CENTURA II DPS+

2002, 200mm

Assembly

AMAT

Centura II Super E

2000, Oxide Etch

Assembly

AMAT

Centura II Super E

2000, Oxide Etch

Assembly

AMAT

Centura MXP

Poly Etch

Assembly

AMAT

E-Max Chamber Assy

200mm

Assembly

AMAT

E-Max Chamber Assy

200mm

Assembly

AMAT

E-Max Chamber Assy

200mm

Assembly

AMAT

P5000

MxP+ Etch

Assembly

AMAT

P5000

TEOS

Assembly

AMAT

P-5000

Poly Etch

Assembly

AMAT

P-5000

TiN CVD

Assembly

AMAT

P-5000

CVD

Assembly

AMAT

P-5000

1995.10, 200mm

Assembly

AMAT

P-5000

1994, 200mm

Assembly

AMAT

P5000 ETCH

200mm

Assembly

AMAT

P5000 ETCH

200mm

Assembly

AMAT

P5000 ETCH

200mm

Assembly

AMAT

P5000 MK-II

TEOS CVD

Assembly

AMAT

P5000C

SIN CVD

Assembly and Test

AMAT

P5000Wxz

W-CVD

Assembly and Test

AMAT

PE8330

METAL Etch

Category

AMAT

PE8330

METAL Etch

Clean

AMAT

PE8330

METAL Etch

CMP

AMAT

PI9500

High Current Implanter

CMP

AMAT

PI9500

High Current Implanter

CMP

AMAT

PI9500

High Current Implanter

CMP

AMEL Instruments

Instruments Model 2049

 

CMP

AMEL Instruments

Instruments Model 2053

 

CMP

Amtech/Tempress

 

Amtech/Tempress Atmoscan System (New in crate)

CMP

Anatech Hummer

6.2

 

CMP

Anelva

I-1060 SVII Plus-1

Co-Sputter

CMP

Anelva

I-1060SV II

Alminium Sputter

CMP

Anelva

ILC-1060SV

Sputter

CMP

APEX

F636A-01 (Cruise 2000)

MOCVD LCSVD

CMP

Applied Material Orbot WF736

 

Tester

CMP

Applied Materials

5300

Etcher

CMP

APPLIED MATERIALS

8330

Hexode Plasma Etchers for 125mm Wafers

CMP

Applied Materials

8330

Metal Etcher

CMP

Applied Materials

9200

 

CMP

Applied Materials

9500

 

CMP

Applied Materials

5200 Centura

 

CMP

Applied Materials

8300A-0020

Oxide Etcher

CMP

Applied Materials

AMAT 5200 CENTURA

 

CMP

Applied Materials

AMAT P5000

CVD

CMP

Applied Materials

AMAT P5000

 

CMP

Applied Materials

AMAT ULTIMA X  300MM

HDPCVD

CMP

Applied Materials

Centura 5200 DPN

 

CMP

Applied Materials

Centura 5200 DPN

 

CMP

Applied Materials

Centura 5200 Metal Etch MxP

 

CMP

Applied Materials

Centura 5200 Oxide Etch MxP+

CVD

Applied Materials

Centura RTP XE+/Wsix

 

CVD

Applied Materials

Centura SACVD

 

CVD

Applied Materials

Compass

 

CVD

APPLIED MATERIALS

DR SemVision

SEM Based Defect Review System

CVD

Applied Materials

Excite 

High Speed Particle Detection

CVD

Applied Materials

Excite IPM

Unpatterned Wafer Inspection

CVD

Applied Materials

HDPEtch Chamber

 

CVD

Applied Materials

IPM 832

 

CVD

Applied Materials

P5000

CVD

CVD

Applied Materials

P5000 Mark II Metal

 

CVD

Applied Materials

P5000 Mark II Metal

 

CVD

Applied Materials

P5000 Mark II Metal

 

CVD

Applied Materials

P5000 Mark II Metal

 

CVD

Applied Materials

Reflexion - ILD

 

CVD

APPLIED MATERIALS

Type 3

On Board TEOS Hot Box, 6 Channels

CVD

Applied Materials

5000

3 Ch SACVD System, refurbished

CVD

Applied Materials

5000

3 Ch Silane PECVD system, refurbished

CVD

Applied Materials

Centura 5200

2 Ch DPS R1 poly etch system, refurbished

CVD

Applied Materials

Centura 5200

3 Ch eMxP+ oxide etch system, refurbished

CVD

Applied Materials

Centura 5200

4 Ch DXZ PECVD System, refurbished

CVD

Applied Materials

Centura 5200

4 Ch DXZ SACVD System, refurbished

CVD

Applied Materials

Centura 5200

Ald Centura System

CVD

Applied Materials

Centura 5200

MxP Etch system - Oxide 200mm

CVD

Applied Materials

Opal 7830i

CD SEM

CVD

Applied Materials

SEM VISION CX

SEM

CVD

APT

3145

Metal Etch Tools with Robotic Handling, for 75mm to 200mm Wafers, 2ea Available

CVD

Arch

Genstream

Arch Teos Delivery System (New) 

CVD

ASM

Polygon Platform

Thin Film

CVD

ASML

2500/40

i-Line Wafer Stepper

CVD

ASML

PAS 5000 / 45

STEPPER

CVD

ASML/SVG US INC

MSII+

Track

CVD

ASML/SVG US INC

SERIES 90-S

Track

CVD

ASML/SVG US INC

SERIES 90-S

Track

CVD

Asyst

SMIF 300 WMS

Wafer Management system

CVD

Atcor

CRD-2410

Box Washer

CVD

Avsi

AVSI 480BT

 

CVD

Axcelis

200 PCU

 

CVD

Axcelis

200PCU

Photostabilizer

CVD

Axcelis

AC2

Ozone Asher

CVD

Axcelis

HC3 Ultra 5.5

Ion Implanter- 300mm-13 wafer batch- 4 PDOs- full 300mm factory automation-exc condition

CVD

Axcelis / Fusion

200 ACU

Ashing System

CVD

Axcelis / Fusion

M 200 PC

UV Cure System

CVD

Balzers

BAK 760

High Capacity High Vacuum Evaporation System

CVD

Bay Voltex HS 0550-AC-SX-ENL

HS 0550-AC-SX-ENL

 

CVD

Bay Voltex LT-1650-WC-DI-AM Chiller

LT-1650-WC-DI-AM Chiller

 

CVD

Benchmark Gen II

SM-8000

Parallel Seam Sealer

CVD

Biorad

Bio-RAD

Overlay and CD Measurement System

CVD

Biorad

Bio-RAD

Overlay and CD Measurement System

CVD

BIORAD

Q6

Overlay Metrology Tool

CVD

BIORAD

Q7/Q8

Overlay Metrology Tool for up to 200mm Wafers, 3ea Available

CVD

BIORAD

QS-300

FTIR Epi Thickness Monitor for up to 150mm Wafers

CVD

BIORAD

QS-300

FTIR Epi Thickness Monitor for up to 200mm Wafers

CVD

BIORAD

QS-500

FTIR Epi Thickness Monitor for up to 200mm Wafers

CVD

Blue M

DCC-256F

Oven

CVD

Blue M

IGF-6680F-4

Blue M Ultra Temp Oven 

CVD

Blue M

DCC 256C

Cleanroom Oven

CVD

Blue M

Model CW-190G-MP2

High Temp Oven

CVD

Blue M

Model DCC-146C

 

CVD

Bold

PT1180, PT1184

Bold Recirculators

CVD

Branson

IPC 4000

Barrel Asher

CVD

Brewer Science

CEE 100 System

 

CVD

Brooks

8100

 

CVD

Brooks

various

Brooks Mass Flow Controllers 

CVD

Buehler

Budzar Ice Chiller

Chiller

CVD

Cannon

FPA-3000-i4

Wafer Stepper

CVD

Cannon

FPA-3000-i4

Wafer Stepper

Defect Metrology

Cannon

FPA-3000-i4

Wafer Stepper

Defect Metrology

Cannon

FPA-3000-i5

Wafer Stepper

Diffusion

Cannon

FPA-3000-iW

Wafer Stepper

Diffusion

Cannon

FPA-3000-iW

Wafer Stepper

Diffusion

Canon

1550

Mark V steppers, G line

Diffusion

Canon

Aligner(PLA-501FA)

 

Diffusion

CANON

APT5850

TEOS-3 CVD

Diffusion

Canon

FPA 1550

g line stepper

Diffusion

Canon

FPA1550M3W

g line stepper

Diffusion

CANON

MAS8000

Asher

Diffusion

CANON

MAS8000

Asher

Diffusion

CANON

MAS8000

Asher

Diffusion

CANON

MAS8000

Asher

Diffusion

CANON

MAS-8000

Asher

Diffusion

CANON

MAS-801

Asher

Diffusion

Canon

MPA 500

Projection Mask Aligner

Diffusion

Canon

PLA 501F

Parallel Light Mask Aligner Cassette to cassette Mask aligner for 2"-5" wafer

Diffusion

CANON

PLA501FA

Mask Aligner

Diffusion

Canon

PLA 501F

Parallel Light Mask Aligner Cassette to cassette Mask aligner for 2"-6" wafer

Diffusion

Carl Zeiss

MSM100 / AIMS

 

Diffusion

CDE

ResMap 378

Resistivity Mapping Tool, for up to 300mm Wafers

Diffusion

CDE

ResMap168

Automated four point probe for resistivity and metal thickness mapping

Diffusion

CHA

MPS 4

Multiposition Horizontal Sputtering system

Diffusion

CHA

SSB 600

Single Target DC Magnetron Sputting system

Diffusion

Chapman

MP 2000 Plus

Laser Profiler

Diffusion

CR TECHNOLOGY

CRX-1000

Real Time Xray Imaging System

Diffusion

Creative Design Engineering (CDE)

ResMap 463

 

Diffusion

Creative Design Engineering (CDE)       

ResMap 463

 

Diffusion

Credence

212

Parts Machine Only

Diffusion

Credence

IDS2000

Tester

Diffusion

Credence

Kalos PK1

Automated Test Prober for Flash Memory

Diffusion

Credence

Personal Kalos

 

Diffusion

CVC

611

Loadlock Deposition System Sputter Capability up to 6"

Diffusion

Daito Shoji

F-3555

RETICLE INSPECTION

Diffusion

Dektak

3 Series

Surface profilometer and step height measurement

Diffusion

Delatech

858-4

Delatech CDO System 

Diffusion

Delta Design

1020 FLEX HNDLR

Handler

Diffusion

Delta Design

1020 FLEX HNDLR

Handler

Diffusion

Delta Design

1210 FLEX HNDLR

Handler

Diffusion

DELTRONIC

DV-114

Optical Comparator with Digital XY Readout

Diffusion

Despatch

CRB

 

Diffusion

DISCO

DFD620

Dicing Saw

Diffusion

DJ-807

Kokusai

4 Chamber Furnace w/ preclean

Diffusion

DNS

626

Coater, Developer

Diffusion

DNS

DNS-629

PR Coater

Diffusion

DNS

DNS-629

PR Coater

Diffusion

DNS

DNS-629

PR Coater

Diffusion

DNS

FC-821L

1 BATH RCA SCRUBBER

Diffusion

DNS

FC-821L

 

Diffusion

DNS

FC-821L

 

Diffusion

DNS

SC-W60A-AVFG

SOG Coater

Diffusion

DNS

SCW-80A-AV(Q)

POLYMID COATER

Etch

DNS

SC-W80A-AVFG

SOG Furnace with Interface

Etch

DNS

SC-W80A-AVG

SOG Coater

Etch

DNS

SK200W-RVPE

Track System

Etch

DNS

SK-W80A-BVP

Photoresist Coater/Developer, 3 Coat, 2 Develop, 200mm Wafers

Etch

DNS

SK-W80A-BVP

Track System

Etch

DNS

SKW-80A-BVPE

Photoresist Coater/Developer, 1 Coat, 2 Develop, WEE for 200mm Wafers

Etch

DNS

SR-3000

Cleaner

Etch

DNS

SS-W80AAR

 

Etch

DNS

VM-8200

Thickness Measurement

Etch

DNS

VPC

 

Etch

DNS

WS-820C

Automated Wet Processing System with IPA Vapor Dryer,  200mm Wafers

Etch

DNS

629

PR coater

Etch

DNS

W80

SOG Coater

Etch

DNS- Dainipponscreen

DP-636-C

3 Tracks with each 3 hotplate and 1 coolplate. Each track has 1 carrier send and 1 receive.

Etch

DNS-Dainipponscreen

DP-636-C

1 Coater unit 4 Hotplates 2 Coolplates 4 Carriers 2 coating systems with pressuredispence from the tank/bottle each is suported with a traptank. Suitable for any Type of Photoresist.

Etch

Dryden

DE3496SPD

 

Etch

Drytek

Megastrip 6

Drytek Megastrip 6

Etch

Duo

Credence

VLSI, Tester 50/100 Mhz Conf. 60Mhz 1digital capture board W/8 pins, 2 abbitary waveform Generator boards for 16 pins AWG.

Etch

Dupont

120ssa

Helium Leak Detector

Etch

DUSAN

Smart Die

Trim & Form Press, 5ea Available

Etch

DYNATEX

DX-III

Wafer Scriber/Breaker

Etch

E G & G Instruments

Model 263A

 

Etch

EALING INSTRUMENTS

6' Long

Optical Bench

Etch

Eaton

H143 (NV-10-80)

Implant

Etch

Ebara

2.1

Ebara 2.1 Cryo Compressors 

Etch

Ebara

4.8

Ebara 4.8 Cryo Compressors 

Etch

Ebara

EPO

Ebara Integrated Polish Configurations

Etch

Edax

CM200ST Alpha 147-5

Failure Analysis

Etch

Edax

EDX

Failure Analysis

Etch

EEJA

POSFER

 

Etch

Electroglas

4085cx

Prober with hot chuck

Etch

Electroglas

4090u

Prober

Etch

Electroglas

5/300e

Prober, 300mm, hot/cold nickel chuck, -55degC-+200degC, Auto probe to pad, chiller, manip.

Etch

Electroglas

EG 4085

Prober

Etch

Electroglas

EG 4085X

Prober

Etch

Electroglas

Horizon 4085X

Automatic Wafer Prober

Etch

Electrotech

LF-24

 

Etch

EM-1

Prometrix

 

Etch

ENI

ACG-10

Generator

Etch

ENI

HF-1

Generator

Etch

EPRO

142AX

Memory Tester

Etch

Ergolux

Ergolux

Microscope

Etch

ESEC

2005 LOC

Automatic Die Bonder

Etch

ESEC

3006F/X

ESEC 30006F/X Wire Bonder

Etch

ESI

9800

 

Etch

ESI

9800

 

Etch

ESI

9800

 

Etch

ESI

9800

 

Etch

ESI

9250A

Laser Semiconductor Processing System, 1996

Etch

ESI

9250B

Laser Semiconductor Processing System, 1996

Etch

ESI

8000/200

Laser Processing System

Etch

ESI Inc

9820

Laser Repair

Etch

Estek

WIS-800

 

Etch

Estek Wis

600

Inspection System Wafer Surface Analysis System Capability 3" to 6" wafers.

Etch

ETS Lindgren

5407

 

Etch

Evertech

UNKNOWN

 

Etch

EVG

540 G2W

Die Bonder

Etch

Fein Focus

F3D-160-10(V)

Xray

Etch

FICO

TFM-1A

Lead Forming Tool

Etch

FLX2320

KLA-TENCOR

 

Etch

Fortrend

See Listing

Fortrend Wafer Transfers

Etch

Four Dimension Movec

280TC

Automated 4 Point Probe Capable of 100mm to 200mm wafers

Etch

FSI

8221

Spin Rinse Dryer

Etch

FSI

Excalibre

Vapor Cleaner

Etch

FSI

Excalibre

Vapor Cleaner

Etch

FSI Polaris

1000

Microlithography Cluster tool (Coat / Dev) Capability 3" to 6" wafers

Etch

Fusion

150 PC

UV systems

Etch

Fusion

150PC-200PCU- Gemini

Photostablilizers and EPROM erasers (dual application)

Etch

Fusion

200PC

Photostabilizer

Etch

Fusion

Asher

 

Etch

Fusion

Asher

 

Etch

Fusion

G03

Photostabilizer

Etch

Fusion

G03

Photostabilizer

Etch

Fusion

M200 PCU

 

Etch

Fusion Systems

PS3 UV Harden

Photoresist Curing

Etch

Fusion Systems

PS3 UV Harden

Photoresist Curing

Etch

GAERTNER

Stokes LSE

Ellipsometer, Manual 300MM max

Etch

Gasonics

AE2001

EtcherSingle wafer down-stream isotropic etch system

Etch

Gasonics

AE2001

Etcher Single wafer down-stream isotropic etch system

Etch

Gasonics

Aura 1000

Photoresist Asher 75mm to 150mm wafer capability

Etch

Gasonics

Aura 2000LL

Loadlock Asher Configured for 4"- 8" Wafers

Etch

Gasonics

Aura 2000LL

Loadlock Asher Configured for 4"- 8" Wafers

Etch

Gasonics

L3510

Single Wafer Ashing System Substrate Size: 3-8inch / 75mm-200mm

Etch

GCA/TROPEL

9000

Surface Flatness Analyzer

Etch

GD-Takatori

1100

Gold- Grind

Etch

GD-Takatori

2100

Gold- Grind

Etch

Gemini

Gemini 3

Dual Chamber Epitaxial Reactor

Etch

Gemini

Gemini II

Gemini II EPI Reator (Left Side Controller)

Etch

Gemini

Gemini II

Gemini II EPI Reator (Right Side Controller

Etch

Genesis

Tester II 

Tester II

Etch

Genesis 

Tester II

Tester II

Etch

Genus

Lynx 2

CVD

Etch

Geringer

 

 

Etch

GSI Lumonics

HM1400L

Laser Marking system

Etch

Hach One Laboratory

Hach One Laboratory PH/Meter

Etch

Hipox

Gasonics

w/Haskel Pumps, up to 125mm

Etch

Hirayama

PC305S III

 

Etch

Hirayama

PC305S III

 

Etch

HITACHI

4500

Analytical Scanning Electron Microscope

Etch

Hitachi

308 ATE

Poly Etcher

Etch

Hitachi

7280H

 

Etch

Hitachi

HI TECH 308

Etcher

Etch

Hitachi

HI TECH 308

Etcher

Etch

Hitachi

HI TECH 8820

 

Etch

HITACHI

IRG-10-T10

REVIEWER

Etch

Hitachi

IS-2000

Total Reflection X-Ray Fluorescence   TRXRF

Etchers

HITACHI

IS2500

WAFER INSPECTION

Etchers

HITACHI

LS-6030K

Particle Inspection

Implant

HITACHI

S-4160

FE-SEM

Implant

Hitachi

S-4500

 

Implant

Hitachi

S-6000

CD SEM

Implant

Hitachi

S-6000

CD SEM

Implant

HITACHI

S-6000

Field Emission CDSEM, 2ea Available

Implant

Hitachi

S-7000

CD SEM

Implant

HITACHI

S-7000

CD SEM Measurement Tool, 2ea Available

Implant

Hitachi

S-7800

CD SEM

Implant

HITACHI

S-8820

CD-SEM

Ion Implantors

HITACHI

S-8820

200mm

Mask

HITACHI

S-9260

SEM

Mask

HITACHI

S-9260

SEM

Mask 

HITACHI

SEM S7000

 

Mask 

HITACHI

SEM S7800

 

Mask 

Hitachi

8820

CD Sem

Mask 

Holon

EMU220

 

Mask 

Hypervision

Visionary 2000

Emission Microscope

Mask 

ICF

 

EKC Wet station

Mask 

ICF

 

Tube Cleaner for Vertical furnace

Metrology

ICP Multiplex

STS

Inductively coupled plasma, GaN Bluechip, vintage 2002

Metrology

IMS Tester

ATS 1271

Tester- spare system

Metrology

IMS Tester

ATS 1271 

Tester-full system

Metrology

Inficon

TRS-H200M

GAS ANALYZER

Metrology

Innolas

IL C 3000 DPS

Laser YAG

Metrology

Innotec

VS 24C

5 Target DC Sputtering System

Metrology

Inova

Novellus

PVD  200mm

Metrology

Inspex

TPC8500

Total Reflection X-Ray Fluorescence   TRXRF

Metrology

Inspex

TPC8520

Total Reflection X-Ray Fluorescence   TRXRF

Metrology

Inspex

TPC8525

Total Reflection X-Ray Fluorescence   TRXRF

Metrology

Inspex

TPC8525M

Total Reflection X-Ray Fluorescence   TRXRF

Metrology

Inspex

TPC8530

Total Reflection X-Ray Fluorescence   TRXRF

Metrology

Integrated Dynamics Eng

TC

Shock Vibration

Metrology

Ion Tech Inc

Sourcerer

Ion Beam System Dual Ion Beam Deposition

Metrology

IONIC

Stressgage

Wafer Film Stress Tester, 2ea Available

Metrology

IPEC

472 / 372M

Rotational CMP Platforms

Metrology

IPEC/Speedfam

Auriga / Auriga C

5-Head Standalone or Integrated CMP Polisher

Metrology

IRVINE OPTICAL

Ultrasort 606

Robotic Bar Code Reader/Wafer Sorter

Metrology

IRVINE OPTICAL

UltraSpec

Wafer Inspection Station with Nikon Optiphot 150 Optics, NeoPlan2  5X, 20X & 100X Obj

Metrology

IRVINE OPTICAL

UltraSpec III

Wafer Inspection Station with Nikon Optiphot, DIC Optics, Isolation Table

Metrology

IVI

Box Coater

48 inch thermal evaporator

Metrology

IVS

200

Wafer Inspection System

Metrology

JEOL

JSM-6360LV

Scanning Electron Microscope

Metrology

Jeol

JWS 7505

CD CEM

Metrology

JEOL

JWS 7515

Microscope

Metrology

JEOL

JWS 7700

CD Sem

Metrology

JEOL

JWS-7515

SEM Based Wafer Inspection Tool

Metrology

JLSI

IPS8653D

JLSI Test Handler

Metrology

JOEL

JWS-7700

 

Metrology

JWS 7515

JEOL

 

Metrology

K&S

1472

Wire Bonder

Metrology

K&S

6497

Semi-Automatic Flip Chip Die Bonder

Metrology

K&S

1470-4

Automatic Hybrid Wedge Bonder, 200mm x 200mm Travel

Metrology

K&S

1470-4

Automatic Hybrid Wedge Bonder, 100mm x 100mm Travel

Metrology

Karl Suss

MA150

Mask Aligner

Metrology

KARL SUSS

MJB-3

Mask Aligner with Split-Field Optics, Model 505 UV Power Supply

Metrology

KARL SUSS

RA120M

Wafer Scriber

Metrology

Karl Suss

MA-150ML

Manual Mask Aligner w/ Video Backside Alignment

Metrology

Karl Suss

MA56

Mask Aligner Exposure System

Metrology

Karl Suss

MA6

Mask Aligner / Exposure SystemSystem Capable of 2" to 6" Wafers

Metrology

Karl Suss

RA120M

Scriber

Metrology

Kensington 

CSMT-2

2 Stage Wafer Sorter

Metrology

KETEK

RMM 530

 Manual Load Rubbing Machine for LCDs

Metrology

Kevex

771 SEMICRON

 

Metrology

Kevex

Omicron XRF

 

Metrology

Kevex

Omicron XRF

 

Metrology

Kinergy

Auto Frame Loader

Assembly Hybrid

Metrology

Kinergy

Auto Frame Loader

Assembly Hybrid

Metrology

Kinetek

DRS 200

Optical Inspection and Defect Review StationSystem Capable of 4" to 8" Wafers

Metrology

KLA

Fab VARS 500

Digital Image Management System

Metrology

KLA

KLA2552

1998

Metrology

KLA

2131

 Defect Inspection System Upgraded to 2132 4" to 8" Water Capability

Metrology

KLA

2132

High Speed Multilayer Wafer Inspection for Process Defects

Metrology

KLA

Tencor P20H

Long Scan Profiler Capability to handle 3" to 8" wafer

Metrology

KLA  Tencor

6200

Surfscan Wafer Surface Contamination Analyzer Capable up to 8" Wafers

Metrology

KLA  Tencor

6220

Surfscan Wafer Surface Inspection System 4" to 8" Water Capability

Metrology

KLA  Tencor

6420

Surfscan Unpatterned Surface Inspection System  Capable up to 8" Wafers

Metrology

KLA  Tencor

Alpha Step 200

Profiler System  Capability to handle 3" to 8" wafer

Metrology

KLA  Tencor

Flexius  FLX 2320

Thin Film Stress Measurement System Wafer Sizes:100 to 200mm

Metrology

KLA  Tencor

M-Gage 300

Non-Contact Mettallization Monitor Capability Capability 2" to 6" wafers

Metrology

KLA  Tencor

P2

Long Scan Profilometer Sample Size: up to 200 mm

Metrology

KLA  Tencor

Surfscan 354

Capability 2" to 5" wafers

Metrology

KLA  Tencor

Surfscan 4500

Surface Particle Inspection Analyzer Capability 3" to 6" wafers

Metrology

KLA  Tencor

Surfscan 5500

Surface Particle Inspection Analyzer Capability 4" to 8" wafers

Metrology

KLA  Tencor

Surfscan 7000

Pattern particle and microscan

Metrology

KLA  Tencor

Surfscan 7200

Patterned Wafer Particle Inspection SystemSubstrate Size: 4"to 8

Metrology

KLA  Tencor

Surfscan 7700

Surfscan Patterned / Unpatterned Wafer Inspection System

Metrology

KLA  Tencor

TF1

Film Thickness Measurement System Substrate Size: 4" to 8"

Metrology

KLA  Tencor

TF2

Film Thickness Measurement System Capability 100 to 200mm wafer

Metrology

KLA  Tencor

UltraPointe 1010

Laser Imaging System Defect Review Station- Capable of 4" to 8" Wafers

Metrology

KLA 2132 

controller

Controller

Metrology

KLA 91

 

 

Metrology

KLA TENCOR

2135

Wafer Defect Inspection System

Metrology

KLA TENCOR

 HRP 100

Profilometer

Metrology

KLA Tencor

es20XP

Wafer Inspection

Metrology

KLA TENCOR

eS20XP

Electronic Beam Wafer Inspection

Metrology

KLA TENCOR

eS20XP

Electronic beam wafer inspection

Metrology

KLA Tencor

FLX-5200H

Stress Guage

Metrology

KLA TENCOR

HRP 220

Inspection System

Metrology

KLA Tencor

KLA-2132

Particle Inspection

Metrology

KLA Tencor

KLA-5300

MASK WAFER INSPECTION

Metrology

KLA TENCOR

P20

Profile Measurement

Metrology

KLA TENCOR

Quantox

In-Line Electrical Measurement System

Metrology

KLA Tencor

SFS-7000

Surfscan

Metrology

KLA Tencor

SURFSCAN AIT-1

WAFER INSPECTION

Metrology

KLA-Tencor

2132

 

Metrology

KLA-Tencor

5000

Coherence Probe Metrology

Metrology

KLA-TENCOR

5105

 

Metrology

KLA-Tencor

5200

 

Metrology

KLA-Tencor

6200

 

Metrology

KLA-Tencor

6200

Surface Scanner

Metrology

KLA-Tencor

6220

 

Metrology

KLA-Tencor

6220

 

Metrology

KLA-TENCOR

6400

 

Metrology

KLA-TENCOR

7700

 

Metrology

KLA-Tencor

7700

 

Metrology

KLA-Tencor

7700

 

Metrology

KLA-Tencor

7700

 

Metrology

KLA-Tencor

5200XP

 

Metrology

KLA-TENCOR

7700M

 

Metrology

KLA-Tencor

ABI 2000

 

Metrology

KLA-Tencor

AIT

 

Metrology

KLA-TENCOR

AlphaStep 300

Profilometer

Metrology

KLA-Tencor

CRS-1010S

 

Metrology

KLA-TENCOR

CRS-3000

Confocal Review Station for up to 300mm Wafers

Metrology

KLA-Tencor

ev300

 

Metrology

KLA-Tencor

Flex-5200h

Automated Thin Film Stress Measurement

Metrology

KLA-Tencor

HRP-220

High Resolution Profiler

Metrology

KLA-Tencor

KLA 2135

 

Metrology

KLA-Tencor

KLA 3800L

 

Metrology

KLA-Tencor

KLA2130 M/B

WAFER INSPECTION

Metrology

KLA-Tencor

KLA2130/2111 OPERATION RAC

Metrology

KLA-Tencor

KLA2130/2111 OPERATION RAC

Metrology

KLA-Tencor

KLA2550 REVIEW STATION

Review Station

Metrology

KLA-Tencor

KLA5107

Overlay Inspection System - Parts Machine

Metrology

KLA-Tencor

Omnimap NC-110

Non Contact Resistivity

Metrology

KLA-TENCOR

P-2

Long Scan Profiler

Metrology

KLA-Tencor

P20H

Long Scan Profiler Capability to handle 75mm to 200mm wafers

Metrology

KLA-Tencor

P-22

Profiler

Metrology

KLA-TENCOR

P-2H

Long Scan Profiler with Cassette to Cassette Wafer Handling, for up to 200mm Wafers

Metrology-Test Systems

KLA-Tencor

Prometrix FT-600

Patterned Wafer Film thickness Measurement

Metrology-Test Systems 

KLA-Tencor

Prometrix FT-700

Patterned Wafer Film thickness Measurement

Miscellaneous 

KLA-Tencor

Prometrix RS35

Resistivity Mapping system 4 Point Probe

Other

KLA-Tencor

Prometrix RS50/E

4 Point Probe, High Resistivity

Other

KLA-Tencor

Prometrix RS55/TC

4 Point Probe, High Resistivity Mapping w/ Temp Compensation

Other

KLA-Tencor

Prometrix UV-1050

Film Thickness Mapping

Other

KLA-TENCOR

RS55

Resistivity Mapping Tool, for Copper Only

Other

KLA-Tencor

RS55

Resistivity

Other

KLA-Tencor

Sufscan 7200

Patterned Wafer Particle Counter

Other

KLA-Tencor

Sufscan 7200

Patterned Wafer Particle Counter

Other

KLA-Tencor

Surfscan 5500

Wafer Contamination Monitor

Other

KLA-Tencor

Surfscan 6100

Unpatterned Wafer Surface Contamination Analyzer

Other

KLA-Tencor

Surfscan 6200

Wafer Surface Analyzer for Non Patterned Wafers

Other

KLA-Tencor

Surfscan 6400

Wafer Surface Analyzer for Contaminating Particles

Other

KLA-Tencor

Surfscan 7000

Patterned Wafer Contamination Analyzer

Other

KLA-Tencor

Surfscan 7600

Particle Inspection for Patterned and Unpatterned Wafers

Other

KLA-Tencor

Surfscan AIT

Advanced inline Defect Inspection

Other

KLA-TENCOR

Surfscan SP1 Classic

Unpatterned Wafer Surface Inspection Tool, for 200mm-300mm Wafers

Other

KLA-TENCOR

Surfscan7700

Patterned Wafer Surface Inspection Tool, for up to 200mm Wafers

Other

KLA-Tencor

UV 1050

 

Other

KLA-Tencor

UV 1050

 

Other

KLA-Tencor

UV 1050

 

Other

KLA-Tencor Prometrix

FT 650

FILM Thickness MEASUREMENT SYSTEM

Other

Kokusai

VERTRON III

 

Other

Kokusai

Vertron III(F)/Vertex(F)/DD-823V/DJ-823V

Other

Kokusai

Vertron V(S)/Vertex V(S)/DD-835V/DJ-835V

Other

Kokusai

Vertron V(S)/Vertex V(S)/DD-835V/DJ-835V         

Other

KOYO

VF-5100B

VERTICAL ALLOY FURNACE

Other

KOYO Lindberg

VF-5100

Oxide Furnace

Other

KVD

M2i

KVD Tester

Other

LAM

490

Poly Oxide Etcher

Other

LAM

590

Oxide Etcher

Others

Lam

2300

Etcher - Transfer Module - TM

Oven

Lam

4420

Polysilicon Etcher

Ovens

Lam

4420

Polysilicon Etcher

Photo

Lam

4428

Etcher

Photo

LAM

4528

Etcher

Photo

LAM

9408

Etcher

Photo

LAM

9600SE

 

Photo

Lam

9600SE

Metal Etcher

Photo

Lam

Alliance 9400 PTX

1-chamber system

Photo

Lam

Alliance A6

 

Photo

LAM

DSM9800

LPCVD Reactor 1 Integrity

Photo

LAM

TCP 9600SE

Plasma Metal Etch System

Photo

LAM

TCP9600

 

Photo

LAM

TCP-9608SE

METAL Etch

Photo

LAM

4600B

Aluminum Etcher, 200mm

Photo

LAM / Drytek

LRC 200 SERIES 0

Etcher

Photo

LAM / Ontrak

DSS 200

Post CMP cleaner/MTSC-1 SCRUBBER

Photo

LAM RESEARCH

4420

Polysilicon Plasma Etcher for 150mm Wafers

Photo

Lam Research

9400

 

Photo

Lambda Physik

A4003

Excimer Laser- 193nm (ArF) Excimer laser for lithography applications. This is a 5 Watt 4Khz laser with a 0.3pm Bandwidth spec.

Photo

LAURIER

DS-3000

Autonmatic Die Attacher

Photo

Laurier

DS-7000

Pick and Place

Photo

Leica

INS 2000

 

Photo

Leica

MIS 200

 

Photo

Leica

MIS 200

 

Photo

Leica

MIS 200

 

Photo

Leica

MIS200

Wafer Inspection and Review

Photo

Leitz

MPV-SP

Microspectroscope

Photo

Leitz

SP Automatic

Microspectroscope

Photo

Leitz

Ergolux

Microsciope 6 inch Stage

Photo

LEO

LTA-700

Life-time Measurement

Photo

Lepel

J-125-3-KC-TL

Lepel RF Generator (228KVA)

Photo

Leybold

100P

Leybold Dry Vacuum Pump

Photo

Leybold

D30A

Leybold D30A Vacuum Pump

Photo

Leybold

D60A

Leybold D60A Vacuum Pump

Photo

Leybold

WSU150

Leybold WSU150 blower

Photo

Leybold

Z 660

Load Locked 4 Target RF and DC Sputter with Etch

Photo

LFE

PDS-504

Plasma Cleaning Tool

Photo

LFE

PUC-301

Plasma Cleaning Tool

Photo

Linberg

 

Blue OvenChamber Size: 25"H x 16"D x 22"WMax Temp: 300c

Photo

LMS IPRO

Leica

 

Photo

LTX

Delta Turboflex

 

Photo

LUMONICS

WaferMark 2

YAG Laser Wafer Marking System

Photo

LUMONICS

WaferMark 200HS

YAG Laser Wafer Marker for up to 200mm Wafers

Photo

LUMONICS

WaferMark 345-1

YAG Laser Wafer Marking System

Photo

LUMONICS

WaferMark 345-2

YAG Laser Wafer Marking System

Photo

Lumonics

Wafermark II

Laser ID System

Photo

Lumonics

Wafer Mark II

Laser Identification System Capable of handling 2" to 6" wafers.

Photo

MA6

Karl-Suss

Mask Aligner 

Photo

Mactronix

AWS BWI 600

Microscope Loader / UV Inspection System

Photo

Marangoni

Dryer

 

Photo

Mark 7

TEL

Polymide 2 coater, 2 developer

Photo

Mark 8

TEL

Polymide 2 coater, 2 developer

Photo

MAT

6947

Semi-Automatic Flip Chip Die Bonder

Photo

Matrix

System One, Model 303

Etcher

Photo

Mattson

CFM 8100

Wet Station

Photo

MC Electronics

JLSI 8990

 

Photo

MC SYSTEMS

8806

Analytical Probing System w/B/L MicroZoom Microscope, 2.25X, 8X, 25X Objectives

Photo

MCT

2010

Tester

Photo

MDC

CSM/16

Automatic CV Plotter with 150mm DuoChuck,  B&L SZ5 Microscope

Photo

MDC

CSM/2-7200

Automatic CV Plotter with DuoChuck 8512-6NI 150mm Nickel Plated 6' (dia.) Dual Hot Chuck, Boonton 7200 Capacitance Meter

Photo

MDC

CSM/2-WIN-VF6-OS1

Automatic CV Plotter with 490 QuietChuck 200mm DC Hot Chuck, H-P 4140B pA Meter/DC Voltage Source & H-P 4284A 20Hz – 1Mhz Precision LCR Meter

Photo

MERCATOR CONTROL

LF-5

Plasma Stripper

Photo

Micro Automation

1100

Wafer Dicing SawCapable 3" to 6" Wafers

Photo

Micro Automation

1006

Programmable Dicing SawWafer dimensions 2"- 6"

Photo

Micro Automation

1006

Programmable Dicing SawWafer  dimensions 2"- 6"

Photo

Microanalyst

7500

Microanalyst 7500 Masspectrometer

Photo

Micronics Japan

705A

Manual Prober

Photo

MicroVu

H14

 

Photo

MIT

Flexifab

Coater

Photo

MIT

Flexifab

Developer

Photo

MKII

TEL

Developer

Photo

Molecular Analytics

47-NH3/NMP-102B-121

ION Mobility Spectrometer

Photo

Mosaid

3480 tester 

36 I/O- 144M Fail Bit Memory

Photo

Mosaid

3480 tester 

18 I/O-18M Fail Bit Memory

Photo

Mosaid

3480 testers

(36 I/O- 36M Fail Bit Memory)

Photo

Mosaid

3490 tester

36 I/O -36M Fail Bit Memory

Photo

MRC

603

3 Target RF & DC Side Sputtering Etch

Photo

MRC

662

Sideways Sputter

Photo

MRC

903M

Sputtering System DC w/RF Etch

Photo

MRC

603

Sputter SystemCapable up to 6" Wafers

Photo

MRC

603-II

Side sputtering System w/ Etch

Photo

MRC

603-III

Side sputtering System w/ RF Etch

Photo

MRC 662

 

Sputter

Photo

MRC/TSC

943

Load locked 3 Target DC Sputtering system

Photo

MRL INDUSTRIES

1024

2- Tube Horizontal Diffusion Furnace, 150mm Wafers

Photo

MRL INDUSTRIES

1024

3-Tube Horizontal Diffusion Furnace w/Cantilever Loaders, 150mm Wafers

Photo

MRL INDUSTRIES

14TC-45

SMD IR Conveyor Furnace, 5 Zones, 9" Long Clamshell Chamber Design, MPU Controlled

Photo

MRL Industries

Model SLC 1248

Diffusion Furnace Capable up to 200mm wafers

Photo

Nanometric

4150

Film Thickness Measurement and Mapping SystemSystemStandard wafer sizes 100mm to 200mm

Photo

Nanometrics

181

Film Thickness Measurement System

Photo

NANOMETRICS

Nanoline CD-50

CD Measurement Tool

Photo

NANOMETRICS

Nanospec 181

Film Thickness Measurement System

Photo

NANOMETRICS

Nanospec 181

Film Thickness Measurement System

Photoresist Coaters-Tracks

NANOMETRICS

Nanospec 200

Film Thickness Measurement System

Power Supplies-RF-Plasma-E-Gun

Nanometrics

Nanospec 210

Film Thickness Measurement System  Capable 5" to 6" Wafers

PVD

NANOMETRICS

Nanospec 8300

Automatic Film Thickness Tool, Cassette to Cassette for up to 200mm Wafers

PVD

NANOMETRICS

Nanospec 9000

Automatic In Situ Film Thickness Tools, 3ea Available

PVD

Nanometrics

Nanospec 9000(7000-0560)

Integrated Film Analysis System.

PVD

Nanometrics

Nanospec AFT 4000

Scanning UV

PVD

Nanometrics

8300XP

Thin Film MetrologyCapable of 100mm-300mm wafer handling

PVD

Neslab

CTF-75

Neslab Coolflow CTF-75 Chiller 

PVD

Neslab

HX-150

Neslab HX-150 Chillers 

PVD

Neslab

HX-75

Neslab HX-75 Chillers 

PVD

Neslab

RTE210

Neslab RTE210 Chillers 

PVD

Neslab

RTE211

Neslab RTE211 Chillers 

PVD

Neslab

CoolFlow RTE-221

 

PVD

Neslab

DI Max

 

PVD

Neslab

HX 150

Recirculating Chiller

PVD

Neslab

HX 300

Recirculating Chiller

PVD

Newport

Autoalign PCS

 

PVD

Nextral 100

Nextral

 

PVD

NICOLET

ECO-DX

FT-IR Spectrometer for Carbon, Oxygen & Epi Analysis, As-Is Only

PVD

NICOLET

ECO-MX 160-10MX

FT-IR Spectrometer, As-Is Only

PVD

Nicolet

MX-ECO

Nicolet MX-ECO

PVD

NIDEK

IM-7

Wafer Inspection

PVD

Nikon

3A

 

PVD

NIKON

i9

i-Line stepper

PVD

NIKON

Metaphot

Binocular Microscope with 5X, 20X, 40X & 60X BF/DF Obj. Lenses, Polaroid Camera

PVD

Nikon

NPI 4425I

 

PVD

Nikon

NPI S202+

Stepper

PVD

NIKON

NSR2005i10C

i-Line stepper

PVD

Nikon

NSR-2205i11D

STEPPER

PVD

Nikon

NSR-2205i11D

STEPPER

PVD

Nikon

NSR-2205i11D

STEPPER

PVD

Nikon

NSR-2205I12D

STEPPER

PVD

Nikon

NSR-2205i14E

STEPPER

PVD

Nikon

NSR-2205I14E

STEPPER

PVD

NIKON

NWL-851

Cassette Wafer Loaders for up to 200mm Wafers, 3ea Available

PVD

NIKON

Optiphot 66

Trinocular Numarski Microscope with 5X, 20X, 40X & 100X DIC Obj. Lenses, AFX-II Polaroid Camera

PVD

NIKON

Optiphot 66

Binocular Microscope with 5X, l0X, 20X & 40X Obj. Lenses

PVD

NIKON

Optiphot 66

 

PVD

NIKON

Optiphot 88

Binocular Microscope with 5X, l0X, 20X & 40X Obj. Lenses, for 200mm Wafers

PVD

NIKON

Optistation

Wafer Inspection

Repair

NIKON

Optistation

Wafer Inspection

Repair

NIKON

Optistation 2A

Automatic Wafer Inspection Systems for 75mm- 150mm Wafers, Auto Focus, 4ea Available

Repair

NIKON

Optistation 3A

Automatic Wafer Inspection Station for 200mm Wafers, 2ea Available

Repair

Nikon

OST-5

Optistation

Repair

NIKON

 

Toolmaker's Microscope

Repair

Nikon Metaphot

  

Microscope 6 inch Stage

Repair

NITTO DENKO

D-304

Automatic Wafer Taper

Spares

NITTO DENKO

H-304

Automatic Wafer Detaper

Spares

Nordicko

8550

Sputter

Subsystem

Nordiko

8550

RF and DC Sputtering System

Subsystem

Nova

NovaScan 210/420/840

Standalone or Integrated Polish Configurations

Subsystem

Novellus

676

Planer

Subsystem

Novellus

C2 Altus

 

Subsystem

Novellus

C2 Sequel - 1 shrink chamber

 

Subsystem

Novellus

C2 Sequel - non shrink

 

Subsystem

Novellus

C2 SPEED/Sequel

 

Subsystem

NOVELLUS

Concept 2 Dual Speed S

SIN CVD

Subsystem

Novellus IPEC Speedfam

676

CMP 200mm

Subsystem

Novellus IPEC Speedfam

 Novascan 372 ILD

CMP 200mm

Subsystem

Novellus IPEC Speedfam

 Novascan 372 ILD

CMP 200mm

Subsystem

Novellus IPEC Speedfam

 Novascan 372 ILD

CMP 200mm

Subsystem

Novellus IPEC Speedfam

 Novascan 372 ILD

CMP 200mm

Subsystem

Novellus/Ipec/Speedfam

372M

Planer

Subsystem

OLYMPUS

BH2-MJL

Wafer Inspection Microscope with NeoSPlan 5X, 10X, 20X & 50X Objectives, for 150mm Wafers

Subsystem

OLYMPUS

BHM

Wafer Inspection Microscope with NeoSPlan 5X, 10X, 20X & 50X Objectives

Subsystem

OnTrack

DSS-200

Slli Series IIDouble Sided Scrub Track.Capable 4" to 8" wafers

Subsystem

OnTrak

DSS 200 Series 2

Post CMP Cleaner

Subsystem

OnTrak

DSS 200 Synergy

Post CMP Cleaner

Subsystem

OnTrak

DSS-200 Series 1

 

Subsystem

OnTrak

DSS-200 Series 1

 

Subsystem

Opal

7830i

Opal 7830i Sem (Parts Tool)

Subsystem

OPAL 7830i

APPLIED MATERIALS

CD SEM

Subsystem

Orbis

Wed

WAFER INSPECTION

Subsystem

OSI

2100

Overlay and CD Measurement System

Subsystem

OSI

IQ-155M

PARTICLE MEASUREMENT

Subsystem

OSI

IQ-155M

PARTICLE MEASUREMENT

Subsystem

OSI

IQ-155M

PARTICLE MEASUREMENT

Subsystem

OSI

Metra

Overlay and CD measurement system

Subsystem

OSI

VLS-I

Video line width CD measurement system

Subsystem

Oxford Instruments

200

Ion Beam Milling system

Subsystem

Oxford Instruments

100 Plus

RIE Reactive Ion Etching system w/ Loadlock

Subsystem

Oxford Instruments

CMI 900

 

Subsystem

Oxford Instruments

Plasmalab 80 Plus

Compact Flexible Reactive Ion Etcher

Subsystem

P2

KLA-TENCOR

 

Test

P5000

APPLIED MATERIALS

TEOS w/ Phase 4 bot box

Test

P5000

APPLIED MATERIALS

4 Chamber Sputter Etch

Test

P5000 

APPLIED MATERIALS

Trench Etch

Test

P5000 Metal Etch

APPLIED MATERIALS

2 Metal etch, 1 ASP

Test

Pacific Western System

Probe II

Capable 4" to 6"

Test

Pacific Western System

Probe P5

 

Test

Parker

AUTODOSER

Autodoser

Test

Perkin Elmer

300

Micralign Projection AlignerCapable of 3" to 5

Test

Perkin Elmer

4400

 

Test

Perkin Elmer

4410

 

Test

Perkin Elmer

4450

3 Target DC  Sputtering

Test

Perkin Elmer

2000 FTIR

SpectrophotometerSystem Capable of 6"and 8" and 12" Wafers

Test

Perkin Elmer

544HT

Projection Aligner

Test

Perkin Elmer

641HT

Projection Aligner Split Carriage Capable of 150 mm

Test

PERKIN-ELMER

2400-8J

RF Sputtering System, 3ea 200mm Targets, RF Etch, RF Bias

Test

Philips

PLM-100

Photoluminescence Mapping Tool

Test

PHILLIPS

PW2800

Xray Fluorescence Metrology Tool for up to 200mm Wafers

Test

Phoenix

160 OVHM

PCBA Analyzer, X-Ray Inspection

Test

Plasma 300

Tepla

GaN Bluechip, 2 and 4 inch, CE Marking

Test

Plasma Technologies

ECR 2000/300 OR

Single Chamber Plasma Etch

Test

PlasmaTherm

740

RIE

Test

Plasmatherm

SL 730

Pecvd

Test

Plasmatherm

SLR 730

Pecvd

Test

PLASMA-THERM

Waf'r Batch 74

RIE/Parallel Plasma Etcher

Test

Plasmatron

 

Electron Beam Evaporator

Test

PRI Automation

1000- 2000- 4000- 5000

New and refurbished robot

Test

PROMETRIX

FT-750

Film Thickness Measuring Tool

Test

PROMETRIX

RS-35c

Resistivity Mapping Tool

Test

PROMETRIX

RS-55

Resistivity Mapping Tool

Test

Prometrix

FT530

Film Thickness Mapping SystemWafer Sizes: 3-3.25" and 100- 125-150-200 mm

Test

Prometrix

FT650

Film Thickness Mapping System Standard Wafer Sizes: 3-3.25" and 100-125- 150- 200mm

Test

Prometrix

RS35C

Resistivity Mapping System 4"- 8" Capable

Test

Prometrix

RS35C

Resistivity Mapping System-Cassette to Cassette Load 4 Point Probe4"- 8" Capable

Test

Prometrix

RS55

Resistivity Mapping SystemWafer size 2" - 8" Manual Load

Test

Prometrix

RS55 TC

Resistivity Mapping SystemWafer size 2" - 8" Manual Load

Test

Prometrix

SM300

Film Thickness Mapping SystemSystemMaximum wafer size 200mm

Test

Prometrix

RS50

 

Test

Prometrix

SM300

 

Test

PS SYSTEMS

FPS6000

Trim & Form Press, 5ea Available

Test

PS SYSTEMS

M-Press

Trim & Form Press, 4ea Available

Test

Pyramid Engineering

HPS-9000

Seam Sealer

Test

QC Optics

API-3000

QC Optics Photo Mask Inspection System

Test

Quantox 64000

KLA-TENCOR

 

Test

Quickturn System

16500BR

 

Test

Recif

IDLW8

Handler

Test

REDDISH ELECTRONICS

SM500 CXE

Convection Reflow Oven

Test

REICHERT

Polylite SC

Wafer Inspection Microscope with 10X, 20X, 50X & 100X Plan Fluor Objective Lenses, Irvine Optical Ultrastation 3.C Model 2 Cassette Loader, for up to 150mm Wafers

Test

REID-ASHMAN

60 Pin

Test Head Manipulator

Test

Reliability Line

various

Various ovens, temp cycle, humidity cycle used for microelectronics testing

Test

RIE

OXFORD INSTRUMENTS

 

Test

RIGAKU

3630

TXRF Wafer Analyzer

Test

RIGAKU

3630

TXRF Wafer Analyzer

Test

RIGAKU

3750

TXRF Wafer Analyzer

Test

RIGAKU

3700H

TXRF Wafer Analyzer

Test

Rigaku

3700H

Total Reflection X-Ray Fluorescence   TRXRF

Test

Rigaku

3726B

Total Reflection X-Ray Fluorescence   TRXRF

Test

RIGAKU

DPGS

Xray Diffractometer, 2ea Available

Test

RIGAKU

Wafer X 300

XRF Film Thickness& Composition Tool, FOUP Loading of 300mm Wafers

Test

Riken Medic

DBS-160S

Draft chamber of IPA cleaner

Test

Rudolph

Auto EL IV

Ellipsometer w/ Automatic R-06 StageMaximum wafer size 150mm

Test

Rudolph

FE-â…£/D

Ellipsometer

Test

Rudolph

FE-III

Focus Ellipsometer

Test

Rudolph

METAPULSE 200

 

Test

Rudolph

Spectralaser 200XLS

Simultaneous multi angle, multi wavelength Ellipsometer w/ fully integrated UV Reflectometer

Test

Rudolph

Auto EL III

EllipsometerMaximum wafer size 150mm

Test

RUDOLPH RESEARCH

FE-III

Focused Beam Ellipsometer, for up to 200mm Wafers

Test

Rudolph Research

FE-IV

 

Test

Rudolph Research

FE-IV

 

Test

Rudolph Research

Metapulse 200

 

Test

Rudolph Technologies

AutoEL III

Manual benchtop single wavelength ellipsometer up to 6"

Test

Rudolph Technologies

AutoEL IV

Manual benchtop multi wavelength ellipsometer up to 6"

Test

Rudolph Technologies

FE III

Automated- pattern rec-single wavelength ellipsometer up to 8"

Test

Rudolph Technologies

FE IV

Automated-pattern rec- single wavelength ellipsometer up to 8"

Test

Rudolph Technologies

FE VII

Automated- pattern rec- single wavelength ellipsometer up to 8"

Test

Rudolph Technologies

SpectraLASER 200

Multi wavelength laser ellipsometer and full spectrum reflectometer

Test

RVSI

Vanguard VAi 6000

BGA Solder Ball Placement System w/Vai 100 Loading System

Test

SAGAX

Isoscope 125

Film Thickness Monitor

Test

SAGITTA

ECP-2000

Cross Section Polisher

Test

Santa Clara Plastics (SCP)

E200

 

Test

Santa Clara Plastics (SCP)

SCP

Wetsink for Descum

Test

Schlumberger

BLU2K

 

Test

Schlumberger

BLU300EI

 

Test

Schlumberger

BLU300EI

 

Test

Schlumberger

IDS 10000PLUS

 

Test

Schlumberger

IVS 100

Automated Metrology System  Wafer Sizes: 100 to 200mm

Test

Schlumberger

NA

Handler

Test

Scientific Instruments

1LM21H

 

Test

SDI

FAaST 230

Surface Photo Voltage Test System with COCOS

Test

SDI

FAaST 230-DP+SPV

Surface Photo Voltage Test System with COCOS & SILC

Test

SDI

FAaST 330

Dielectric Charaterization Tool with COCOS, SILC & Epi-t for up to 300mm Wafers

Test

SDI

SPV 1020

Surface Photo Voltage Tester

Test

SDI

SPV-300

Surface Photo Voltage Tester for up to 300mm Wafers

Test

Seiko

CQDP-40 CLN

 

Test

Seiko Instruments Inc.

SMI 9800

High Performance Scanning Ion Microscope

Test

SelA MC

100

Micro Cleavage System Capable up to 6" wafers

Test

SemiFab

CD-E1/750

 

Test

SemiGas

2

Cylinder Cabinet with Purge Controller

Test

SemiTest

SCA2000

Surface charge analyzer for real time C-V testing

Test

Semitest

SCA-2500

Real time Surface Charge Analyzer

Test

SEMITOOL

0870F-3-1-E-ML

Dual Rinser Dryer

Test

Semitool

Equinox

HF Vapor Cleaner

Test

Semitool

LT-312

 

Test

Semitool

Magnum

 

Test

SEMITOOL

MILLENIUM300

Wafer spin cleaner

Test

Semitool

MILLENNIUM

 

Test

Semitool

SAT 205ID

Spray Acid tool Accommodates 6" substrate

Test

Semitool

SAT 208 (2P)

Spray Acid Tool Accommodates 6" substrate

Test

Semitool

SAT 3061D

Spray Acid Tool Accommodates 6" substrate

Test

Semitool

ST-860D

Capable of 2" to 5" Wafers

Test

SEMITOOL

ST860F

Spin Rinser Dryer with PSC-101 Controllers, 2ea Available

Test

SEMITOOL

ST860F

Spin Rinser Dryer with PSC-102 Controllers

Test

SEMITOOL

ST-870D

Dual Stack Spin Rinse Dryer

Test

Semitool

VTP 1500

Furnace

Test

Semitool

WST 306

Wafer Soluble Develop/Strip Tool Capability of 100mm - 125mm wafer

Test

Semitool

WST 308

 

Test

Semitool

WST 308

 Wafer Soluble Develop/Strip Tool Capability of 100mm - 125mm wafer

Test

SEMITOOL

WST305M

 

Test

Semitool

Semitherm VTP Anneal

Vertical Furnace

Test

Semix

Semix

SOG Tracks made by TOK non U line

Test

SEZ

201

 

Test

SEZ

RST 201

Wet Etcher

Test

Shibaura

CDE80

CDE80

Test

Shinkawa

SFB200

Flip Bonder 150mm

Test

SHINKO

BGA-BA-1

BGA Mounting Tool

Test

SHINKO

BGA-BM-2

BGA Alignment Tool

Test

Sloan

Dektak II

Precision Surface Profile Measuring SystemCapable up to 6" wafers

Test

Sloan

Dektak IIA

Surface Profile Measuring System

Test

Sloan

Veeco Dektak 3030

Surface Profile Measuring SystemCapable up to 6" wafers

Test

Solitec

8260-CB

Coat Vacuum Bake Track 2" to 5" Wafer Capacity.

Test

SOPRA

ES-4G

Spectroscopic Ellipsometer

Test

Speedfam/IPEC

372

 

Test

SpeedFam/IPEC

676 - ILD

 

Test

SpeedFam/IPEC

Auriga - ILD

 

Test

SpeedFam/IPEC

CMP V - ILD

 

Test

SPEEDFAM/IPEC

AVANTI 372

CMP SYSTEM

Test

SSEC

2100

Computer controlled Parallel Seam Sealer

Test

SSEC

2300

Precision Parallel Seam Sealer

Test

SSEC

2300DLL4

Precision Parallel Seam Sealer

Test

SSEC

2300e

Parallel Seam Sealer with 2300 Mechanism, 60" Glove Box, 2ea Passthru Ovens, Moisture Monitor

Test

SSEC

Evergreen Model 50

Wafer/Plate Cleaner with Automatic Loading, High Pressure and Brush Scrubbing

Test

SST

EKC

Metal Etch wet Sink

Test

ST5020

Credence

 

Test

STAUBLI SA

Puma 200

Robot, 2ea Available

Test

Steag

AWP

 

Test

Steag Microtech

 

Marangoni Dryer

Test

STI

LAM

 

Test

Strasbaugh

6DS-SP

Rotational CMP Platforms

Test

Strasbaugh

6EC

Rotational CMP Platforms

Test

Strasbaugh

6DS-SP

Some parts missing  integrated Ontrack post CMP cleaner

Test

StrataSys Inc

FDM 2000CAD

 

Test

STS

320PC

Reactive Ion  Etch System

Test

STS

Multiplex

RIE system

Test

STS

Multiplex

CVD Deposition for 100mm-200mm Wafers

Test

STS

Wet Process

Wet Process

Test

STS5000

Credence

tester/SPARC 20 CPU/32 signal pins RF mix cards 2

Test

Sumitomo

NKN 202 383A OSC

Hybrid etcher

Test

SVG

8120

Coater, Bake

Test

SVG

8120

Developer, Bake

Test

SVG

90S

Coater, Developer, excellent condition, 200mm

Test

SVG

VTR-7000+

Vertical Furnace

Test

SVG Thermco

VTR 7000

Vertical Furnace

Test

SVG Thermco

VTR 7001

Vertical Furnace

Test

Taitan

TAITAN

STEPPER

Test

TAKATORI

ATM-1100C

Wafer Taping Machine for up to 200mm Wafers

Test

Takatori

ATRM-2100

 

Test

Takitori

ATM 1100C

 

Test

TAMARACK

PRX 500/1000

UV Exposure System

Test

Technics

500-II

Plasma SystemChamber 9"W x 6"H x 8"D

Test

Technos

TREX-610

X-ray Analizer

Test

Technos

TREX 610S TXRF

TXRF Series X-Ray Wafer AnalyzerWafer Diameter: 100 mm to 200 mm

Test

TEGAL

411

Plasma Barrel Stripper

Test

Tegal

801

Inline Plasma etcher

Test

Tegal

803

Inline Plasma etcher

Test

TEGAL

1511

Plasma Etcher, Parts Only

Test

Tegal

900E

Cass to Cass Wafer Photoresist stripper

Test

Tegal

900E

Cass to Cass Strip backside etch

Test

Tegal

901E

Cass to Cass Polysilicon/Silicon Nitride Plasma Etcher

Test

Tegal

903E

Cass to Cass Single Wafer Plasma Etcher

Test

Tegal

803

Inline Automatic Plasma Etcher3" to 5" Wafer Capacity.

Test

Tegal

903E

Plasma Etch System3" to 6" Wafer Capacity

Test

TEGAL       

903e

Plasma Metal Etch System

Test

TEL

19S

Wafer Prober

Test

TEL

19S

Wafer Prober

Test

TEL

19S

Wafer Prober

Test

TEL

19S

Wafer Prober

Test

TEL

19S

Wafer Prober

Test

TEL

19S

Wafer Prober

Test

TEL

19S

Wafer Prober

Test

TEL

19S

Wafer Prober

Test

TEL

19S

Wafer Prober

Test

TEL

580LC

Etch system Cassette to Cassette Handling 2" to 6" Wafer Capacity.

Test

TEL

615 VDF

Furnace, Wet Oxide

Test

TEL

ACT 8 SOD

 

Test

TEL

ACT-8

Dual block coat and develop track system

Test

TEL

ACT-8

Dual block coat and develop track system

Test

TEL

Alph 805

Furnace

Test

TEL

Alph 805

Furnace

Test

TEL

Alph 805

Furnace

Test

TEL

Alph 805

Furnace

Test

TEL

Alph 805

Furnace

Test

TEL

Alpha 605

LPCVD Furnace

Test

TEL

Alpha 803

LPCVD Furnace

Test

TEL

Alpha 803

LPCVD Furnace

Test

TEL

Alpha 803

LPCVD Furnace

Test

TEL

Alpha 803

LPCVD Furnace

Test

TEL

Alpha 803

LPCVD Furnace

Test

TEL

Alpha 803

Furnace

Test

TEL

Alpha 803

Furnace

Test

TEL

Alpha 803

Furnace

Test

TEL

Alpha 803

Furnace

Test

TEL

Alpha 803

Furnace

Test

TEL

Alpha 803

Furnace

Test

TEL

Alpha 803

Furnace

Test

TEL

Alpha 803

Furnace

Test

TEL

Alpha 803

Furnace

Test

TEL

Alpha 803

Furnace

Test

TEL

Alpha 803

Furnace

Test

TEL

Alpha 803

Furnace

Test

TEL

Alpha 808

LPCVD Furnace

Test

TEL

Alpha 8S - Anneal

 

Test

TEL

Alpha 8S - Nitride

 

Test

TEL

Alpha 8S - Oxide

 

Test

TEL

Alpha 8S - Poly

 

Test

TEL

Alpha 8SE - Other

 

Test

TEL

Alpha 8SE - Other

 

Test

TEL

Alpha 8SE - Other

 

Test

TEL

Alpha 8SE - Other

 

Test

TEL

Alpha8SE

 

Test

TEL

Alpha-8SE-E

Small Footprint Oxidation Furnace for up to 200mm Wafers

Test

TEL

Clean Track Act 8

 

Test

TEL

GX-2104

Furnace

Test

TEL

IW-6C

LPCVD Furnace

Test

TEL

IW-6C

LPCVD Furnace

Test

TEL

IW-6C

LPCVD Furnace

Test

TEL

Mark II

150mm, Developer

Test

TEL

Mark II

150mm, developer

Test

TEL

Mark-8

SOD Track System

Test

TEL

MB2-730

Wsi CVD

Test

TEL

P8

Prober with hot chuck

Test

TEL

P8

Prober with hot chuck

Test

TEL

SCCM

Chamber Only for TEL Unity M Etch Tool - Excellent Condition

Test

TEL

TE 8500 ATC

Oxide Etcher ATC chamber

Test

TEL

UL 2604 08L

Furnace

Test

TEL

Unity II 88

Etcher

Test

TEL

Unity Iie 88 DD

Oxide Etcher SSCM

Test

TEL

Unity SP

 

Test

TEL

VCF615

LPCVD Furnace

Test

TEL

α-8S-ZABF

DIFFUSION FURNACE

Test

TEL・Varian

MB2-830

Sputter

Test

TEL・Varian

MB2-830

Sputter

Test

TELEDYNE

TAC PR-53

Wafer Prober

Test

Temescal

BJD-1800

Electron Beam Evaporator

Test

Temescal

FC-1800

Load Locked E-Beam  Evaporator, one refurbished

Test

TEMESCAL

FC-1800

E-Beam Evaporator, with CV-14 P/S, 4 Pocket E-Gun, Substrate Heat, more

Test

Temescal

FC-4800

 

Test

TEMESCAL

FCE-4000

E-Beam Evaporator, with 3' X 3' X 3' Chamber, CV-14 P/S, 4 Pocket E-Gun, Ion Tech Ion Gun, PLC Controller, Inficon IC5 Deposition Controller, Substrate Heat, MFC Gas System

Test

TENCOR

AlphaStep 200

Profilometer

Test

TENCOR

AlphaStep 200

Profilometer

Test

TENCOR

ALPHA-STEP 200

 

Test

TENCOR

Alpha-step P1

 

Test

Tencor

FT600

Prometrix FT-600

Test

Tencor

P-10

Surface Profiler Sample Size: up to 200 mm

Test

TENCOR

SFS 6220

1996, 200mm

Test

TENCOR

Surfscan 4000

Unpatterned Wafer Surface Inspection Tool - Parts Tool Only

Test

TENCOR

Surfscan 4500

Unpatterned Wafer Surface Inspection Tool

Test

Tencor

HRP 100

High Resolution Profiler

Test

Tencor

P12

Profiler

Test

Tepla

Auto 300

Asher

Test

Teradyne

5539Ci

AOI, Advanced Optical Inspection Panel Tester

Test

Teradyne

J973ST

Structural Test

Test

Teradyne

J994W

 

Test

Teradyne

J994W

 

Test

Tesam

8653DC

Handlers

Test

Thermawave

5240

Film Thickness Measurement Tool

Test

Thermawave

Optiprobe 2600

Film Thickness Measurement Tool

Test

Thermawave

Optiprobe 2600B

 

Test

ThermaWave

TM320

Therma-Wave ThermaProbe TP320

Test

Thermco

MB-71

Laboratory Diffusion Furnace Operating Temperature 200c to 1200c

Test

Thermo Electron

ECO 8

 

Test

TOKYO SEIMITSU

A-FP-210A

CMP

Test

Tokyo Seimitsu

A-PM-90A

Wafer Prober

Test

Tokyo Seimitsu

A-PM-90A

Wafer Prober

Test Assebley

Topcon

WM-1700

Particle Measurement System

Thin Films

TRION

Minilock

Single Wafer RIE Etcher with Loadlock, 200mm Wafers

Thin Films

TSK

APM-90A

Automatic Wafer Prober, for up to 200mm Wafers

Thin Films

TSK

UF-200AL

Automatic Wafer Prober, for up to 200mm Wafers

Thin Films

UF200

TSK

 

Thin Films

Ultrapointe

1010

Laser Imagin system

Thin Films

Ultratech

1100

1:1 projection stepper

Wet

Ultratech

22441

I line stepper

Wet

Ultratech

4700 2244i Titan

Wafer Stepper

Wet

Ultratech

6700 Saturn

Wafer Stepper

Wet

Ultratech

602

Mask Cleaner Handles up to 7" x 7" Mask

Wet

Ulvac

UNA-2000

Ashing System3" to 6" Wafer Capacity.

Wet

Unaxis

BAK 1131

Large Box Coater Evaporation System

Wet

Unaxis

BAK EVO

2001, Thermal Evaporator

Wet

Unit

See Listing

Unit Mass Flow Controllers 

Wet

Unltratech

MLA

 

Wet

Ushio

TUV 604

UV Bake

Wet

USHIO

UX-4040SC-CA01

Mask Aligner

Wet

USHIO

Wee

 

Wet

USHIO

WEE, FX 500sk

 

Wet

UT1000

TEL

Metal Etcher

Wet

V2

KVD

Mixed signal, tester/DC source16 I/O channels/test head support up to 16 channels per card/80 I/o channels/Waveform source and measure

Wet

Vacuum Tech

Dry

etch processing systems

Wet

Varian

3280

Sputter

Wet

Varian

E220

 

Wet

Varian

VFW287081

 

Wet

VCR Group

D500i

Wafer Dicing Table Saw

Wet

Veeco

Dektak V320-SL

 

Wet

VEECO

DEKTAKSXM

Inspection

Wet

VEECO

SXM

Atomic Force Profiler

Wet

Versatest

V1004

 

Wet

Versatest

V1004

 

Wet

Verteq

1600

Dual Stack SRD

Wet

Verteq

1600 SRD

Double StackCapable of 4" to 6" Wafers

Wet

VERTEQ

SPIN Dryer 1800-50B

 

Wet

Verteq

1600 SRD

Single Stack  Capable of 4" to 6" Wafers

Wet

Watkins Johnson

1000T

APCVD Furnace

Wet

Watkins Johnson

WJ1000

APCVD Tool

Wet

Watkins Johnson

WJ1000

APCVD Tool

Wet

Watkins Johnson

WJ999

APCVD Tool

Wet

Watkins Johnson

WJ-999R

APCVD Tool

Wet

Waypoint Technology Inc

 

 

Wet

WED

8602

 

Wet

WED

Loader AUL

AutoloaderCapable of 4" to 6" Wafers

Wet

WED

MarcoSpec UV Inspection

Inspection SystemCapable of 4" to 6" Wafers

Wet

WED

Micro Loaders

Inspection SystemCapable of 4" to 6" Wafers

Wet

WINTEST

103C

Digital Tester

Wet

WJ1000TEOS

Watkins Johnson

 

Wet

WJ1500

Watkins Johnson

APCVD

Wet

Wyko

BOP2000W

Bump Measurement Profiling system

Wet

XR80

APPLIED MATERIALS

Implanter

Wet

Yield YES

R-3

Plasma Cleaning System Chamber size: 16" W x 12" D x 7" H

Wet Etch

Yield YES 

LPIII-M3

Vapor Prime OvenChamber 12"x12"x13.25"

 

Zeiss

Axiotron

 

 

Zeiss

IM-12

Wafer LoaderCapable of 4" to 6" Wafers

 

Zygo

8100

Wavefront Analyzer Capable 3" to 8" wafers

 

 

JED-2001

X-ray Analizer


Please contact sales@bestinthefield.com to get a qoute for your project.